Cliquer sur Esempio VHDL: Flip Flop D con reset sincrono pour ouvrir la ressource.