Click on Esempio VHDL: Flip Flop D con reset sincrono to open the resource.