Per aprire la risorsa fai click su Esempio VHDL: Flip Flop D con reset asincrono